aboutsummaryrefslogtreecommitdiffstats
path: root/tests/verilog/conflict_cell_memory.ys
diff options
context:
space:
mode:
Diffstat (limited to 'tests/verilog/conflict_cell_memory.ys')
-rw-r--r--tests/verilog/conflict_cell_memory.ys9
1 files changed, 9 insertions, 0 deletions
diff --git a/tests/verilog/conflict_cell_memory.ys b/tests/verilog/conflict_cell_memory.ys
new file mode 100644
index 000000000..ddc67596f
--- /dev/null
+++ b/tests/verilog/conflict_cell_memory.ys
@@ -0,0 +1,9 @@
+logger -expect error "Cannot add cell `\\x' because a memory with the same name was already created" 1
+read_verilog <<EOT
+module mod;
+endmodule
+module top;
+ reg [2:0] x [0:0];
+ mod x();
+endmodule
+EOT