aboutsummaryrefslogtreecommitdiffstats
path: root/tests/verilog/bug2042.ys
diff options
context:
space:
mode:
Diffstat (limited to 'tests/verilog/bug2042.ys')
-rw-r--r--tests/verilog/bug2042.ys3
1 files changed, 1 insertions, 2 deletions
diff --git a/tests/verilog/bug2042.ys b/tests/verilog/bug2042.ys
index 009e2c20c..f9d8e2837 100644
--- a/tests/verilog/bug2042.ys
+++ b/tests/verilog/bug2042.ys
@@ -1,4 +1,4 @@
-logger -expect error "Non-ANSI style task/function arguments not currently supported" 1
+logger -expect error "task/function argument direction missing" 1
read_verilog <<EOT
module Task_Test_Top
(
@@ -9,4 +9,3 @@ module Task_Test_Top
endmodule
EOT
-