aboutsummaryrefslogtreecommitdiffstats
path: root/tests/verilog/bug2037.ys
diff options
context:
space:
mode:
Diffstat (limited to 'tests/verilog/bug2037.ys')
-rw-r--r--tests/verilog/bug2037.ys8
1 files changed, 4 insertions, 4 deletions
diff --git a/tests/verilog/bug2037.ys b/tests/verilog/bug2037.ys
index 42c4b8f5d..eb4f0fac4 100644
--- a/tests/verilog/bug2037.ys
+++ b/tests/verilog/bug2037.ys
@@ -1,4 +1,3 @@
-logger -expect warning "Attribute\(s\) attached to null statement\. Ignoring\." 1
logger -expect-no-warnings
read_verilog <<EOT
module test ();
@@ -7,10 +6,10 @@ module test ();
if (y) (* foo *) ;
endmodule
EOT
+select -assert-none a:* a:src %d
design -reset
-logger -expect warning "Attribute\(s\) attached to null statement\. Ignoring\." 3 # cumulative
logger -expect-no-warnings
read_verilog <<EOT
module test ();
@@ -19,10 +18,10 @@ module test ();
if (y) (* foo *) ; else (* bar *) ;
endmodule
EOT
+select -assert-none a:* a:src %d
design -reset
-logger -expect warning "Attribute\(s\) attached to null statement\. Ignoring\." 4 # cumulative
logger -expect-no-warnings
read_verilog <<EOT
module test ();
@@ -30,10 +29,10 @@ module test ();
generate if (y) (* foo *) ; endgenerate
endmodule
EOT
+select -assert-none a:*
design -reset
-logger -expect warning "Attribute\(s\) attached to null statement\. Ignoring\." 6 # cumulative
logger -expect-no-warnings
read_verilog <<EOT
module test ();
@@ -41,3 +40,4 @@ module test ();
generate if (y) (* foo *) ; else (* bar *); endgenerate
endmodule
EOT
+select -assert-none a:*