aboutsummaryrefslogtreecommitdiffstats
path: root/tests/various/gzip_verilog.ys
diff options
context:
space:
mode:
Diffstat (limited to 'tests/various/gzip_verilog.ys')
-rw-r--r--tests/various/gzip_verilog.ys2
1 files changed, 2 insertions, 0 deletions
diff --git a/tests/various/gzip_verilog.ys b/tests/various/gzip_verilog.ys
new file mode 100644
index 000000000..870317e80
--- /dev/null
+++ b/tests/various/gzip_verilog.ys
@@ -0,0 +1,2 @@
+read_verilog gzip_verilog.v.gz
+select -assert-any top