aboutsummaryrefslogtreecommitdiffstats
path: root/tests/various/dynamic_part_select/forloop_select_gate.v
diff options
context:
space:
mode:
Diffstat (limited to 'tests/various/dynamic_part_select/forloop_select_gate.v')
-rw-r--r--tests/various/dynamic_part_select/forloop_select_gate.v9
1 files changed, 5 insertions, 4 deletions
diff --git a/tests/various/dynamic_part_select/forloop_select_gate.v b/tests/various/dynamic_part_select/forloop_select_gate.v
index 71ae88537..1a5fffdc7 100644
--- a/tests/various/dynamic_part_select/forloop_select_gate.v
+++ b/tests/various/dynamic_part_select/forloop_select_gate.v
@@ -1,8 +1,9 @@
+`default_nettype none
module forloop_select_gate (clk, ctrl, din, en, dout);
- input clk;
- input [3:0] ctrl;
- input [15:0] din;
- input en;
+ input wire clk;
+ input wire [3:0] ctrl;
+ input wire [15:0] din;
+ input wire en;
output reg [15:0] dout;
reg [4:0] sel;
always @(posedge clk)