aboutsummaryrefslogtreecommitdiffstats
path: root/tests/various/bug1462.ys
diff options
context:
space:
mode:
Diffstat (limited to 'tests/various/bug1462.ys')
-rw-r--r--tests/various/bug1462.ys11
1 files changed, 11 insertions, 0 deletions
diff --git a/tests/various/bug1462.ys b/tests/various/bug1462.ys
new file mode 100644
index 000000000..15cab5121
--- /dev/null
+++ b/tests/various/bug1462.ys
@@ -0,0 +1,11 @@
+read_verilog << EOF
+module top(...);
+input wire [31:0] A;
+output wire [31:0] P;
+
+assign P = A * 32'h12300000;
+
+endmodule
+EOF
+
+synth_xilinx