aboutsummaryrefslogtreecommitdiffstats
path: root/tests/svinterfaces/ondemand.sv
diff options
context:
space:
mode:
Diffstat (limited to 'tests/svinterfaces/ondemand.sv')
-rw-r--r--tests/svinterfaces/ondemand.sv5
1 files changed, 5 insertions, 0 deletions
diff --git a/tests/svinterfaces/ondemand.sv b/tests/svinterfaces/ondemand.sv
new file mode 100644
index 000000000..70d6048f8
--- /dev/null
+++ b/tests/svinterfaces/ondemand.sv
@@ -0,0 +1,5 @@
+// This is used by the load_and_derive test.
+
+module ondemand (iface intf);
+ assign intf.y = ~intf.x;
+endmodule