aboutsummaryrefslogtreecommitdiffstats
path: root/tests/sva/basic04.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'tests/sva/basic04.vhd')
-rw-r--r--tests/sva/basic04.vhd6
1 files changed, 3 insertions, 3 deletions
diff --git a/tests/sva/basic04.vhd b/tests/sva/basic04.vhd
index 889bef0d2..f2ec305ec 100644
--- a/tests/sva/basic04.vhd
+++ b/tests/sva/basic04.vhd
@@ -10,9 +10,9 @@ entity top is
end entity;
architecture rtl of top is
- signal read : std_logic;
- signal write : std_logic;
- signal ready : std_logic;
+ signal read : std_logic := '0';
+ signal write : std_logic := '0';
+ signal ready : std_logic := '0';
begin
process (clock) begin
if (rising_edge(clock)) then