aboutsummaryrefslogtreecommitdiffstats
path: root/tests/sva/basic03.sv
diff options
context:
space:
mode:
Diffstat (limited to 'tests/sva/basic03.sv')
-rw-r--r--tests/sva/basic03.sv10
1 files changed, 10 insertions, 0 deletions
diff --git a/tests/sva/basic03.sv b/tests/sva/basic03.sv
new file mode 100644
index 000000000..a15f3f3a4
--- /dev/null
+++ b/tests/sva/basic03.sv
@@ -0,0 +1,10 @@
+module top (input logic clk, input logic selA, selB, QA, QB, output logic Q);
+ always @(posedge clk) begin
+ if (selA) Q <= QA;
+ if (selB) Q <= QB;
+ end
+
+ check_selA: assert property ( @(posedge clk) selA|=> Q == $past(QA) );
+ check_selB: assert property ( @(posedge clk) selB|=> Q == $past(QB) );
+ assume_not_11: assume property ( @(posedge clk) !(selA& selB) );
+endmodule