aboutsummaryrefslogtreecommitdiffstats
path: root/tests/sva/basic01.sv
diff options
context:
space:
mode:
Diffstat (limited to 'tests/sva/basic01.sv')
-rw-r--r--tests/sva/basic01.sv12
1 files changed, 12 insertions, 0 deletions
diff --git a/tests/sva/basic01.sv b/tests/sva/basic01.sv
new file mode 100644
index 000000000..596e48db0
--- /dev/null
+++ b/tests/sva/basic01.sv
@@ -0,0 +1,12 @@
+module top (input logic clock, ctrl);
+ logic read = 0, write = 0, ready = 0;
+
+ always @(posedge clock) begin
+ read <= !ctrl;
+ write <= ctrl;
+ ready <= write;
+ end
+
+ a_rw: assert property ( @(posedge clock) !(read && write) );
+ a_wr: assert property ( @(posedge clock) write |-> ready );
+endmodule