aboutsummaryrefslogtreecommitdiffstats
path: root/tests/simple/module_scope_case.v
diff options
context:
space:
mode:
Diffstat (limited to 'tests/simple/module_scope_case.v')
-rw-r--r--tests/simple/module_scope_case.v11
1 files changed, 11 insertions, 0 deletions
diff --git a/tests/simple/module_scope_case.v b/tests/simple/module_scope_case.v
new file mode 100644
index 000000000..1472b6912
--- /dev/null
+++ b/tests/simple/module_scope_case.v
@@ -0,0 +1,11 @@
+module top(
+ input wire x,
+ output reg y
+);
+ always @* begin
+ case (top.x)
+ 1: top.y = 0;
+ 0: top.y = 1;
+ endcase
+ end
+endmodule