aboutsummaryrefslogtreecommitdiffstats
path: root/tests/simple/mem2reg_bounds_tern.v
diff options
context:
space:
mode:
Diffstat (limited to 'tests/simple/mem2reg_bounds_tern.v')
-rw-r--r--tests/simple/mem2reg_bounds_tern.v2
1 files changed, 1 insertions, 1 deletions
diff --git a/tests/simple/mem2reg_bounds_tern.v b/tests/simple/mem2reg_bounds_tern.v
index 89d6dd3e8..0e6852fe7 100644
--- a/tests/simple/mem2reg_bounds_tern.v
+++ b/tests/simple/mem2reg_bounds_tern.v
@@ -1,4 +1,4 @@
-module top(
+module mem2reg_bounds_term_top(
input clk,
input wire [1:0] sel,
input wire [7:0] base,