aboutsummaryrefslogtreecommitdiffstats
path: root/tests/simple/local_loop_var.sv
diff options
context:
space:
mode:
Diffstat (limited to 'tests/simple/local_loop_var.sv')
-rw-r--r--tests/simple/local_loop_var.sv11
1 files changed, 11 insertions, 0 deletions
diff --git a/tests/simple/local_loop_var.sv b/tests/simple/local_loop_var.sv
new file mode 100644
index 000000000..46b4e5c22
--- /dev/null
+++ b/tests/simple/local_loop_var.sv
@@ -0,0 +1,11 @@
+module top(out);
+ output integer out;
+ initial begin
+ integer i;
+ for (i = 0; i < 5; i = i + 1)
+ if (i == 0)
+ out = 1;
+ else
+ out += 2 ** i;
+ end
+endmodule