aboutsummaryrefslogtreecommitdiffstats
path: root/tests/sim/sdffe.v
diff options
context:
space:
mode:
Diffstat (limited to 'tests/sim/sdffe.v')
-rw-r--r--tests/sim/sdffe.v8
1 files changed, 8 insertions, 0 deletions
diff --git a/tests/sim/sdffe.v b/tests/sim/sdffe.v
new file mode 100644
index 000000000..0a96693e1
--- /dev/null
+++ b/tests/sim/sdffe.v
@@ -0,0 +1,8 @@
+module sdffe( input d, clk, rst, en, output reg q );
+ always @( posedge clk)
+ if (rst)
+ q <= 0;
+ else
+ if (en)
+ q <= d;
+endmodule