aboutsummaryrefslogtreecommitdiffstats
path: root/tests/opt/bug2010.ys
diff options
context:
space:
mode:
Diffstat (limited to 'tests/opt/bug2010.ys')
-rw-r--r--tests/opt/bug2010.ys10
1 files changed, 10 insertions, 0 deletions
diff --git a/tests/opt/bug2010.ys b/tests/opt/bug2010.ys
new file mode 100644
index 000000000..cef820867
--- /dev/null
+++ b/tests/opt/bug2010.ys
@@ -0,0 +1,10 @@
+read_verilog <<EOT
+module test (
+ input signed [1:0] n,
+ output [3:0] dout
+);
+ assign dout = n + 4'sd 4;
+endmodule
+EOT
+
+equiv_opt -assert opt -fine