aboutsummaryrefslogtreecommitdiffstats
path: root/tests/memories/read_two_mux.v
diff options
context:
space:
mode:
Diffstat (limited to 'tests/memories/read_two_mux.v')
-rw-r--r--tests/memories/read_two_mux.v5
1 files changed, 4 insertions, 1 deletions
diff --git a/tests/memories/read_two_mux.v b/tests/memories/read_two_mux.v
index 4f2e7e1cd..8b609c552 100644
--- a/tests/memories/read_two_mux.v
+++ b/tests/memories/read_two_mux.v
@@ -1,6 +1,9 @@
// expect-wr-ports 1
// expect-rd-ports 1
-// expect-no-rd-clk
+// expect-rd-clk \clk
+// expect-rd-en \re
+// expect-rd-srst-sig \reset
+// expect-rd-srst-val 8'00000000
module top(input clk, input we, re, reset, input [7:0] addr, wdata, output reg [7:0] rdata);