aboutsummaryrefslogtreecommitdiffstats
path: root/tests/ecp5/mux.ys
diff options
context:
space:
mode:
Diffstat (limited to 'tests/ecp5/mux.ys')
-rw-r--r--tests/ecp5/mux.ys11
1 files changed, 11 insertions, 0 deletions
diff --git a/tests/ecp5/mux.ys b/tests/ecp5/mux.ys
new file mode 100644
index 000000000..7d40c9cf1
--- /dev/null
+++ b/tests/ecp5/mux.ys
@@ -0,0 +1,11 @@
+read_verilog mux.v
+proc
+flatten
+equiv_opt -assert -map +/ecp5/cells_sim.v synth_ecp5 # equivalency check
+design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
+cd top # Constrain all select calls below inside the top module
+select -assert-count 32 t:LUT4
+select -assert-count 8 t:L6MUX21
+select -assert-count 14 t:PFUMX
+
+select -assert-none t:LUT4 t:L6MUX21 t:PFUMX %% t:* %D