aboutsummaryrefslogtreecommitdiffstats
path: root/tests/ecp5/memory.ys
diff options
context:
space:
mode:
Diffstat (limited to 'tests/ecp5/memory.ys')
-rw-r--r--tests/ecp5/memory.ys22
1 files changed, 22 insertions, 0 deletions
diff --git a/tests/ecp5/memory.ys b/tests/ecp5/memory.ys
new file mode 100644
index 000000000..9fdeb0d16
--- /dev/null
+++ b/tests/ecp5/memory.ys
@@ -0,0 +1,22 @@
+read_verilog memory.v
+hierarchy -top top
+proc
+memory -nomap
+equiv_opt -run :prove -map +/ecp5/cells_sim.v synth_ecp5
+memory
+opt -full
+
+# TODO
+#equiv_opt -run prove: -assert null
+miter -equiv -flatten -make_assert -make_outputs gold gate miter
+#sat -verify -prove-asserts -tempinduct -show-inputs -show-outputs miter
+
+design -load postopt
+cd top
+select -assert-count 24 t:L6MUX21
+select -assert-count 71 t:LUT4
+select -assert-count 32 t:PFUMX
+select -assert-count 8 t:TRELLIS_DPR16X4
+select -assert-count 35 t:TRELLIS_FF
+select -assert-none t:L6MUX21 t:LUT4 t:PFUMX t:TRELLIS_DPR16X4 t:TRELLIS_FF %% t:* %D
+write_verilog memory_synth.v