aboutsummaryrefslogtreecommitdiffstats
path: root/tests/ecp5/latches.ys
diff options
context:
space:
mode:
Diffstat (limited to 'tests/ecp5/latches.ys')
-rw-r--r--tests/ecp5/latches.ys7
1 files changed, 7 insertions, 0 deletions
diff --git a/tests/ecp5/latches.ys b/tests/ecp5/latches.ys
new file mode 100644
index 000000000..2c77304a1
--- /dev/null
+++ b/tests/ecp5/latches.ys
@@ -0,0 +1,7 @@
+read_verilog latches.v
+synth_ecp5
+cd top
+select -assert-count 4 t:LUT4
+select -assert-count 1 t:PFUMX
+select -assert-none t:LUT4 t:PFUMX %% t:* %D
+write_verilog latches_synth.v