aboutsummaryrefslogtreecommitdiffstats
path: root/tests/arch/ice40/tribuf.ys
diff options
context:
space:
mode:
Diffstat (limited to 'tests/arch/ice40/tribuf.ys')
-rw-r--r--tests/arch/ice40/tribuf.ys11
1 files changed, 11 insertions, 0 deletions
diff --git a/tests/arch/ice40/tribuf.ys b/tests/arch/ice40/tribuf.ys
new file mode 100644
index 000000000..10cded954
--- /dev/null
+++ b/tests/arch/ice40/tribuf.ys
@@ -0,0 +1,11 @@
+read_verilog ../common/tribuf.v
+hierarchy -top tristate
+proc
+tribuf
+flatten
+synth
+equiv_opt -assert -map +/ice40/cells_sim.v -map +/simcells.v synth_ice40 # equivalency check
+design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
+cd tristate # Constrain all select calls below inside the top module
+select -assert-count 1 t:$_TBUF_
+select -assert-none t:$_TBUF_ %% t:* %D