aboutsummaryrefslogtreecommitdiffstats
path: root/tests/arch/ecp5/bug2731.ys
diff options
context:
space:
mode:
Diffstat (limited to 'tests/arch/ecp5/bug2731.ys')
-rw-r--r--tests/arch/ecp5/bug2731.ys7
1 files changed, 7 insertions, 0 deletions
diff --git a/tests/arch/ecp5/bug2731.ys b/tests/arch/ecp5/bug2731.ys
new file mode 100644
index 000000000..c609cea3b
--- /dev/null
+++ b/tests/arch/ecp5/bug2731.ys
@@ -0,0 +1,7 @@
+read_verilog -icells <<EOF
+module top(input c, r, input [1:0] d, output reg [1:0] q);
+TRELLIS_FF #(.REGSET("SET")) ff1(.CLK(c), .LSR(r), .DI(d[0]), .Q(q[0]));
+TRELLIS_FF #(.REGSET("SET")) ff2(.CLK(c), .LSR(r), .DI(d[1]), .Q(q[1]));
+endmodule
+EOF
+synth_ecp5 -abc9 -dff