aboutsummaryrefslogtreecommitdiffstats
path: root/tests/arch/common/dynamic_part_select/original.v
diff options
context:
space:
mode:
Diffstat (limited to 'tests/arch/common/dynamic_part_select/original.v')
-rw-r--r--tests/arch/common/dynamic_part_select/original.v13
1 files changed, 0 insertions, 13 deletions
diff --git a/tests/arch/common/dynamic_part_select/original.v b/tests/arch/common/dynamic_part_select/original.v
deleted file mode 100644
index bd7654ef5..000000000
--- a/tests/arch/common/dynamic_part_select/original.v
+++ /dev/null
@@ -1,13 +0,0 @@
-module original #(parameter WIDTH=256, SELW=2)
- (input clk ,
- input [9:0] ctrl ,
- input [15:0] din ,
- input [SELW-1:0] sel ,
- output reg [WIDTH-1:0] dout);
-
- localparam SLICE = WIDTH/(SELW**2);
- always @(posedge clk)
- begin
- dout[ctrl*sel+:SLICE] <= din ;
- end
-endmodule