aboutsummaryrefslogtreecommitdiffstats
path: root/tests/arch/anlogic/latches.v
diff options
context:
space:
mode:
Diffstat (limited to 'tests/arch/anlogic/latches.v')
-rw-r--r--tests/arch/anlogic/latches.v24
1 files changed, 0 insertions, 24 deletions
diff --git a/tests/arch/anlogic/latches.v b/tests/arch/anlogic/latches.v
deleted file mode 100644
index adb5d5319..000000000
--- a/tests/arch/anlogic/latches.v
+++ /dev/null
@@ -1,24 +0,0 @@
-module latchp
- ( input d, clk, en, output reg q );
- always @*
- if ( en )
- q <= d;
-endmodule
-
-module latchn
- ( input d, clk, en, output reg q );
- always @*
- if ( !en )
- q <= d;
-endmodule
-
-module latchsr
- ( input d, clk, en, clr, pre, output reg q );
- always @*
- if ( clr )
- q <= 1'b0;
- else if ( pre )
- q <= 1'b1;
- else if ( en )
- q <= d;
-endmodule