diff options
Diffstat (limited to 'techlibs')
-rw-r--r-- | techlibs/simlib.v | 8 |
1 files changed, 4 insertions, 4 deletions
diff --git a/techlibs/simlib.v b/techlibs/simlib.v index 8675a4d0f..ff988cbe5 100644 --- a/techlibs/simlib.v +++ b/techlibs/simlib.v @@ -799,8 +799,8 @@ parameter MEMID = ""; parameter ABITS = 8; parameter WIDTH = 8; -parameter RD_CLK_ENABLE = 0; -parameter RD_CLK_POLARITY = 0; +parameter CLK_ENABLE = 0; +parameter CLK_POLARITY = 0; input CLK; input [ABITS-1:0] ADDR; @@ -821,8 +821,8 @@ parameter MEMID = ""; parameter ABITS = 8; parameter WIDTH = 8; -parameter RD_CLK_ENABLE = 0; -parameter RD_CLK_POLARITY = 0; +parameter CLK_ENABLE = 0; +parameter CLK_POLARITY = 0; input CLK, EN; input [ABITS-1:0] ADDR; |