aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs/machxo2/cells_sim.v
diff options
context:
space:
mode:
Diffstat (limited to 'techlibs/machxo2/cells_sim.v')
-rw-r--r--techlibs/machxo2/cells_sim.v91
1 files changed, 32 insertions, 59 deletions
diff --git a/techlibs/machxo2/cells_sim.v b/techlibs/machxo2/cells_sim.v
index 2075c0519..8e0e41179 100644
--- a/techlibs/machxo2/cells_sim.v
+++ b/techlibs/machxo2/cells_sim.v
@@ -179,33 +179,6 @@ module TRELLIS_IO #(
endgenerate
endmodule
-(* blackbox *)
-module OSCH #(
- parameter NOM_FREQ = "2.08"
-) (
- input STDBY,
- output OSC,
- output SEDSTDBY
-);
-endmodule
-
-(* blackbox *)
-module DCCA (
- input CLKI,
- input CE,
- output CLKO
-);
-endmodule
-
-(* blackbox *)
-module DCMA (
- input CLK0,
- input CLK1,
- input SEL,
- output DCMOUT
-);
-endmodule
-
(* abc9_box, lib_whitebox *)
module TRELLIS_DPR16X4 (
input [3:0] DI,
@@ -371,38 +344,38 @@ module DP8KC(
parameter GSR = "ENABLED";
parameter INIT_DATA = "STATIC";
- parameter INITVAL_00 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
- parameter INITVAL_01 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
- parameter INITVAL_02 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
- parameter INITVAL_03 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
- parameter INITVAL_04 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
- parameter INITVAL_05 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
- parameter INITVAL_06 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
- parameter INITVAL_07 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
- parameter INITVAL_08 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
- parameter INITVAL_09 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
- parameter INITVAL_0A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
- parameter INITVAL_0B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
- parameter INITVAL_0C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
- parameter INITVAL_0D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
- parameter INITVAL_0E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
- parameter INITVAL_0F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
- parameter INITVAL_10 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
- parameter INITVAL_11 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
- parameter INITVAL_12 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
- parameter INITVAL_13 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
- parameter INITVAL_14 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
- parameter INITVAL_15 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
- parameter INITVAL_16 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
- parameter INITVAL_17 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
- parameter INITVAL_18 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
- parameter INITVAL_19 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
- parameter INITVAL_1A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
- parameter INITVAL_1B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
- parameter INITVAL_1C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
- parameter INITVAL_1D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
- parameter INITVAL_1E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
- parameter INITVAL_1F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";
+ parameter INITVAL_00 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
+ parameter INITVAL_01 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
+ parameter INITVAL_02 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
+ parameter INITVAL_03 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
+ parameter INITVAL_04 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
+ parameter INITVAL_05 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
+ parameter INITVAL_06 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
+ parameter INITVAL_07 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
+ parameter INITVAL_08 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
+ parameter INITVAL_09 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
+ parameter INITVAL_0A = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
+ parameter INITVAL_0B = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
+ parameter INITVAL_0C = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
+ parameter INITVAL_0D = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
+ parameter INITVAL_0E = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
+ parameter INITVAL_0F = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
+ parameter INITVAL_10 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
+ parameter INITVAL_11 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
+ parameter INITVAL_12 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
+ parameter INITVAL_13 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
+ parameter INITVAL_14 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
+ parameter INITVAL_15 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
+ parameter INITVAL_16 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
+ parameter INITVAL_17 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
+ parameter INITVAL_18 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
+ parameter INITVAL_19 = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
+ parameter INITVAL_1A = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
+ parameter INITVAL_1B = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
+ parameter INITVAL_1C = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
+ parameter INITVAL_1D = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
+ parameter INITVAL_1E = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
+ parameter INITVAL_1F = 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;
endmodule
`ifndef NO_INCLUDES