aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs/ice40/spram_map.v
diff options
context:
space:
mode:
Diffstat (limited to 'techlibs/ice40/spram_map.v')
-rw-r--r--techlibs/ice40/spram_map.v24
1 files changed, 24 insertions, 0 deletions
diff --git a/techlibs/ice40/spram_map.v b/techlibs/ice40/spram_map.v
new file mode 100644
index 000000000..ae8919505
--- /dev/null
+++ b/techlibs/ice40/spram_map.v
@@ -0,0 +1,24 @@
+module $__ICE40_SPRAM_ (...);
+
+input PORT_A_CLK;
+input PORT_A_CLK_EN;
+input PORT_A_WR_EN;
+input [3:0] PORT_A_WR_BE;
+input [13:0] PORT_A_ADDR;
+input [15:0] PORT_A_WR_DATA;
+output [15:0] PORT_A_RD_DATA;
+
+SB_SPRAM256KA _TECHMAP_REPLACE_ (
+ .ADDRESS(PORT_A_ADDR),
+ .DATAIN(PORT_A_WR_DATA),
+ .MASKWREN(PORT_A_WR_BE),
+ .WREN(PORT_A_WR_EN),
+ .CHIPSELECT(PORT_A_CLK_EN),
+ .CLOCK(PORT_A_CLK),
+ .STANDBY(1'b0),
+ .SLEEP(1'b0),
+ .POWEROFF(1'b1),
+ .DATAOUT(PORT_A_RD_DATA),
+);
+
+endmodule