aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs/fabulous/cells_map.v
diff options
context:
space:
mode:
Diffstat (limited to 'techlibs/fabulous/cells_map.v')
-rw-r--r--techlibs/fabulous/cells_map.v7
1 files changed, 6 insertions, 1 deletions
diff --git a/techlibs/fabulous/cells_map.v b/techlibs/fabulous/cells_map.v
index eadd18b6f..e33e641a8 100644
--- a/techlibs/fabulous/cells_map.v
+++ b/techlibs/fabulous/cells_map.v
@@ -16,10 +16,15 @@ module \$lut (A, Y);
end else
if (WIDTH == 3) begin
LUT3 #(.INIT(LUT)) _TECHMAP_REPLACE_ (.O(Y), .I0(A[0]), .I1(A[1]), .I2(A[2]));
-
end else
if (WIDTH == 4) begin
LUT4 #(.INIT(LUT)) _TECHMAP_REPLACE_ (.O(Y), .I0(A[0]), .I1(A[1]), .I2(A[2]), .I3(A[3]));
+ end else
+ if (WIDTH == 5) begin
+ LUT5 #(.INIT(LUT)) _TECHMAP_REPLACE_ (.O(Y), .I0(A[0]), .I1(A[1]), .I2(A[2]), .I3(A[3]), .I4(A[4]));
+ end else
+ if (WIDTH == 6) begin
+ LUT6 #(.INIT(LUT)) _TECHMAP_REPLACE_ (.O(Y), .I0(A[0]), .I1(A[1]), .I2(A[2]), .I3(A[3]), .I4(A[4]), .I5(A[5]));
end else begin
wire _TECHMAP_FAIL_ = 1;
end