aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs/common/simlib.v
diff options
context:
space:
mode:
Diffstat (limited to 'techlibs/common/simlib.v')
-rw-r--r--techlibs/common/simlib.v8
1 files changed, 0 insertions, 8 deletions
diff --git a/techlibs/common/simlib.v b/techlibs/common/simlib.v
index ac4269c90..d0a6cd495 100644
--- a/techlibs/common/simlib.v
+++ b/techlibs/common/simlib.v
@@ -1305,14 +1305,6 @@ endmodule
// --------------------------------------------------------
-module \$predict (A, EN);
-
-input A, EN;
-
-endmodule
-
-// --------------------------------------------------------
-
module \$initstate (Y);
output reg Y = 1;