aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs/common/cmp2lut.v
diff options
context:
space:
mode:
Diffstat (limited to 'techlibs/common/cmp2lut.v')
-rw-r--r--techlibs/common/cmp2lut.v3
1 files changed, 3 insertions, 0 deletions
diff --git a/techlibs/common/cmp2lut.v b/techlibs/common/cmp2lut.v
index 8ecd356cc..ec8f98e8d 100644
--- a/techlibs/common/cmp2lut.v
+++ b/techlibs/common/cmp2lut.v
@@ -16,8 +16,11 @@ parameter A_WIDTH = 0;
parameter B_WIDTH = 0;
parameter Y_WIDTH = 0;
+(* force_downto *)
input [A_WIDTH-1:0] A;
+(* force_downto *)
input [B_WIDTH-1:0] B;
+(* force_downto *)
output [Y_WIDTH-1:0] Y;
parameter _TECHMAP_CELLTYPE_ = "";