aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs/common/cmp2lut.v
diff options
context:
space:
mode:
Diffstat (limited to 'techlibs/common/cmp2lut.v')
-rw-r--r--techlibs/common/cmp2lut.v2
1 files changed, 1 insertions, 1 deletions
diff --git a/techlibs/common/cmp2lut.v b/techlibs/common/cmp2lut.v
index 8aa1eb957..0d0757767 100644
--- a/techlibs/common/cmp2lut.v
+++ b/techlibs/common/cmp2lut.v
@@ -27,7 +27,7 @@ parameter _TECHMAP_CONSTVAL_A_ = 0;
parameter _TECHMAP_CONSTMSK_B_ = 0;
parameter _TECHMAP_CONSTVAL_B_ = 0;
-function automatic integer gen_lut;
+function automatic [(1 << `LUT_WIDTH)-1:0] gen_lut;
input integer width;
input integer operation;
input integer swap;