diff options
Diffstat (limited to 'misc')
-rw-r--r-- | misc/create_vcxsrc.sh | 54 | ||||
-rw-r--r-- | misc/example.cc | 21 | ||||
-rw-r--r-- | misc/yosys-config.in | 107 | ||||
-rw-r--r-- | misc/yosysjs/demo01.html | 197 | ||||
-rw-r--r-- | misc/yosysjs/demo02.html | 102 | ||||
-rw-r--r-- | misc/yosysjs/demo03.html | 103 | ||||
-rw-r--r-- | misc/yosysjs/yosysjs.js | 223 |
7 files changed, 807 insertions, 0 deletions
diff --git a/misc/create_vcxsrc.sh b/misc/create_vcxsrc.sh new file mode 100644 index 000000000..215e27c53 --- /dev/null +++ b/misc/create_vcxsrc.sh @@ -0,0 +1,54 @@ +#!/bin/bash + +set -ex +vcxsrc="$1-$2" +yosysver="$2" +gitsha="$3" + +rm -rf YosysVS-Tpl-v1.zip YosysVS +wget http://www.clifford.at/yosys/nogit/YosysVS-Tpl-v1.zip + +unzip YosysVS-Tpl-v1.zip +rm -f YosysVS-Tpl-v1.zip +mv YosysVS "$vcxsrc" + +{ + n=$(grep -B999 '<ItemGroup>' "$vcxsrc"/YosysVS/YosysVS.vcxproj | wc -l) + head -n$n "$vcxsrc"/YosysVS/YosysVS.vcxproj + egrep '\.(h|hh|hpp|inc)$' srcfiles.txt | sed 's,.*,<ClInclude Include="../yosys/&" />,' + egrep -v '\.(h|hh|hpp|inc)$' srcfiles.txt | sed 's,.*,<ClCompile Include="../yosys/&" />,' + echo '<ClCompile Include="../yosys/kernel/version.cc" />' + tail -n +$((n+1)) "$vcxsrc"/YosysVS/YosysVS.vcxproj +} > "$vcxsrc"/YosysVS/YosysVS.vcxproj.new + +mv "$vcxsrc"/YosysVS/YosysVS.vcxproj.new "$vcxsrc"/YosysVS/YosysVS.vcxproj + +mkdir -p "$vcxsrc"/yosys +tar -cf - -T srcfiles.txt | tar -xf - -C "$vcxsrc"/yosys +cp -r share "$vcxsrc"/ + +echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"Yosys" \ + "$yosysver (git sha1 $gitsha, Visual Studio)\"; }" > "$vcxsrc"/yosys/kernel/version.cc + +cat > "$vcxsrc"/readme-git.txt << EOT +Want to use a git working copy for the yosys source code? +Open "Git Bash" in this directory and run: + + mv yosys yosys.bak + git clone https://github.com/cliffordwolf/yosys.git yosys + cd yosys + git checkout -B master $(git rev-parse HEAD | cut -c1-10) + unzip ../genfiles.zip +EOT + +cat > "$vcxsrc"/readme-abc.txt << EOT +Yosys is using "ABC" for gate-level optimizations and technology +mapping. Download yosys-win32-mxebin-$yosysver.zip and copy the +following files from it into this directory: + + pthreadVC2.dll + yosys-abc.exe +EOT + +sed -i 's/$/\r/; s/\r\r*/\r/g;' "$vcxsrc"/YosysVS/YosysVS.vcxproj "$vcxsrc"/readme-git.txt "$vcxsrc"/readme-abc.txt + diff --git a/misc/example.cc b/misc/example.cc new file mode 100644 index 000000000..a8244ac4e --- /dev/null +++ b/misc/example.cc @@ -0,0 +1,21 @@ +// clang -o example -std=c++11 -I/usr/include/tcl8.5 -I include/ example.cc objs/*.o -lstdc++ -lm -lrt -lreadline -lffi -ldl -ltcl8.5 + +#include <yosys.h> + +int main() +{ + Yosys::log_streams.push_back(&std::cout); + Yosys::log_error_stderr = true; + + Yosys::yosys_setup(); + Yosys::yosys_banner(); + + Yosys::run_pass("read_verilog example.v"); + Yosys::run_pass("synth -noabc"); + Yosys::run_pass("clean -purge"); + Yosys::run_pass("write_blif example.blif"); + + Yosys::yosys_shutdown(); + return 0; +} + diff --git a/misc/yosys-config.in b/misc/yosys-config.in new file mode 100644 index 000000000..1473948cf --- /dev/null +++ b/misc/yosys-config.in @@ -0,0 +1,107 @@ +#!/bin/bash + +help() { + { + echo "" + echo "Usage: $0 [--exec] [--prefix pf] args.." + echo " $0 --build modname.so cppsources.." + echo "" + echo "Replecement args:" + echo " --cxx @CXX@" + echo " --cxxflags $( echo '@CXXFLAGS@' | fmt -w60 | sed ':a;N;$!ba;s/\n/ \\\n /g' )" + echo " --ldflags @LDFLAGS@" + echo " --ldlibs @LDLIBS@" + echo " --bindir @BINDIR@" + echo " --datdir @DATDIR@" + echo "" + echo "All other args are passed through as they are." + echo "" + echo "Use --exec to call a command instead of generating output. Example usage:" + echo "" + echo " yosys-config --exec --cxx --cxxflags --ldflags -o plugin.so -shared plugin.cc --ldlibs" + echo "" + echo "The above command can be abbreviated as:" + echo "" + echo " yosys-config --build plugin.so plugin.cc" + echo "" + echo "Use --prefix to change the prefix for the special args from '--' to" + echo "something else. Example:" + echo "" + echo " yosys-config --prefix @ bindir: @bindir" + echo "" + echo "The args --bindir and --datdir can be directly followed by a slash and" + echo "additional text. Example:" + echo "" + echo " yosys-config --datdir/simlib.v" + echo "" + } >&2 + exit 1 +} + +if [ $# -eq 0 ]; then + help +fi + +if [ "$1" == "--build" ]; then + modname="$2"; shift 2 + set -- --exec --cxx --cxxflags --ldflags -o "$modname" -shared "$@" --ldlibs +fi + +prefix="--" +get_prefix=false +exec_mode=false +declare -a tokens=() + +for opt; do + if $get_prefix; then + prefix="$opt" + get_prefix=false + continue + fi + case "$opt" in + "$prefix"cxx) + tokens=( "${tokens[@]}" @CXX@ ) ;; + "$prefix"cxxflags) + tokens=( "${tokens[@]}" @CXXFLAGS@ ) ;; + "$prefix"ldflags) + tokens=( "${tokens[@]}" @LDFLAGS@ ) ;; + "$prefix"ldlibs) + tokens=( "${tokens[@]}" @LDLIBS@ ) ;; + "$prefix"bindir) + tokens=( "${tokens[@]}" '@BINDIR@' ) ;; + "$prefix"datdir) + tokens=( "${tokens[@]}" '@DATDIR@' ) ;; + "$prefix"bindir/*) + tokens=( "${tokens[@]}" '@BINDIR@'"${opt#${prefix}bindir}" ) ;; + "$prefix"datdir/*) + tokens=( "${tokens[@]}" '@DATDIR@'"${opt#${prefix}datdir}" ) ;; + --help|-\?|-h) + if [ ${#tokens[@]} -eq 0 ]; then + help + else + tokens=( "${tokens[@]}" "$opt" ) + fi ;; + --exec) + if [ ${#tokens[@]} -eq 0 ]; then + exec_mode=true + else + tokens=( "${tokens[@]}" "$opt" ) + fi ;; + --prefix) + if [ ${#tokens[@]} -eq 0 ]; then + get_prefix=true + else + tokens=( "${tokens[@]}" "$opt" ) + fi ;; + *) + tokens=( "${tokens[@]}" "$opt" ) + esac +done + +if $exec_mode; then + exec "${tokens[@]}" +fi + +echo "${tokens[@]}" +exit 0 + diff --git a/misc/yosysjs/demo01.html b/misc/yosysjs/demo01.html new file mode 100644 index 000000000..3f9f737e9 --- /dev/null +++ b/misc/yosysjs/demo01.html @@ -0,0 +1,197 @@ +<html><head> + <title>YosysJS Example Application #01</title> + <script type="text/javascript" src="yosysjs.js"></script> +</head><body onload="document.getElementById('command').focus()"> + <h1>YosysJS Example Application #01</h1> + <table width="100%"><tr><td><div id="tabs"></div></td><td align="right"><tt>[ <span onclick="load_example()">load example</span> ]</tt></td></tr></table> + <svg id="svg" style="display: none; position: absolute; padding: 10px; width: calc(100% - 40px); height: 480px;"></svg> + <div><textarea id="output" style="width: 100%; height: 500px"></textarea></div> + <div id="wait" style="display: block"><br/><b><span id="waitmsg">Loading...</span></b></div> + <div id="input" style="display: none"><form onsubmit="window.setTimeout(run_command); return false"><br/><tt><span id="prompt"> + </span></tt><input id="command" type="text" onkeydown="history(event)" style="font-family: monospace; font-weight: bold;" size="100"></form></div> + <script type='text/javascript'> + function print_output(text) { + var el = document.getElementById('output'); + el.value += text + "\n"; + } + + YosysJS.load_viz(); + var ys = YosysJS.create("", function() { + print_output(ys.print_buffer); + + document.getElementById('wait').style.display = 'none'; + document.getElementById('input').style.display = 'block'; + document.getElementById('waitmsg').textContent = 'Waiting for yosys.js...'; + document.getElementById('prompt').textContent = ys.prompt(); + + update_tabs(); + }); + + ys.echo = true; + + var history_log = []; + var history_index = 0; + var history_bak = ""; + + function history(ev) { + if (ev.keyCode == 38) { + el = document.getElementById('command'); + if (history_index == history_log.length) + history_bak = el.value + if (history_index > 0) + el.value = history_log[--history_index]; + } + if (ev.keyCode == 40) { + if (history_index < history_log.length) { + el = document.getElementById('command'); + if (++history_index < history_log.length) + el.value = history_log[history_index]; + else + el.value = history_bak; + } + } + } + + var current_file = ""; + var console_messages = ""; + var svg_cache = { }; + + function update_tabs() { + var f, html = "", flist = ys.read_dir('.'); + if (current_file == "") { + html += '<tt>[ <b>Console</b>'; + } else { + html += '<tt>[ <span onclick="open_file(\'\')">Console</span>'; + } + for (i in flist) { + f = flist[i] + if (f == "." || f == "..") + continue; + if (current_file == f) { + html += ' | <b>' + f + '</b>'; + } else { + html += ' | <span onclick="open_file(\'' + f + '\')">' + f + '</span>'; + } + } + html += ' | <span onclick="open_file(prompt(\'Filename:\'))">new file</span> ]</tt>'; + document.getElementById('tabs').innerHTML = html; + if (current_file == "" || /\.dot$/.test(current_file)) { + var element = document.getElementById('output'); + element.readOnly = true; + element.scrollTop = element.scrollHeight; // focus on bottom + document.getElementById('command').focus(); + } else { + document.getElementById('output').readOnly = false; + document.getElementById('output').focus(); + } + } + + function open_file(filename) + { + if (current_file == "") + console_messages = document.getElementById('output').value; + else if (!/\.dot$/.test(current_file)) + ys.write_file(current_file, document.getElementById('output').value); + + if (filename == "") { + document.getElementById('output').value = console_messages; + } else { + try { + document.getElementById('output').value = ys.read_file(filename); + } catch (e) { + document.getElementById('output').value = ""; + ys.write_file(filename, document.getElementById('output').value); + } + } + + if (/\.dot$/.test(filename)) { + dot = document.getElementById('output').value; + YosysJS.dot_into_svg(dot, 'svg'); + document.getElementById('svg').style.display = 'block'; + document.getElementById('output').value = ''; + } else { + document.getElementById('svg').innerHTML = ''; + document.getElementById('svg').style.display = 'none'; + } + + current_file = filename; + update_tabs() + } + + function startup() { + } + + function load_example() { + open_file('') + + var txt = ""; + txt += "// a simple yosys.js example. run \"script example.ys\".\n"; + txt += "\n"; + txt += "module example(input clk, input rst, input inc, output reg [3:0] cnt);\n"; + txt += " always @(posedge clk) begin\n"; + txt += " if (rst)\n"; + txt += " cnt <= 0;\n"; + txt += " else if (inc)\n"; + txt += " cnt <= cnt + 1;\n"; + txt += " end\n"; + txt += "endmodule\n"; + txt += "\n"; + ys.write_file('example.v', txt); + + var txt = ""; + txt += "# a simple yosys.js example. run \"script example.ys\".\n"; + txt += "\n"; + txt += "design -reset\n"; + txt += "read_verilog example.v\n"; + txt += "proc\n"; + txt += "opt\n"; + txt += "show\n"; + txt += "\n"; + ys.write_file('example.ys', txt); + + open_file('example.ys') + document.getElementById('command').focus(); + } + + function run_command() { + var cmd = document.getElementById('command').value; + document.getElementById('command').value = ''; + if (history_log.length == 0 || history_log[history_log.length-1] != cmd) + history_log.push(cmd); + history_index = history_log.length; + + var show_dot_before = ""; + try { show_dot_before = ys.read_file('show.dot'); } catch (e) { } + + open_file(''); + + document.getElementById('wait').style.display = 'block'; + document.getElementById('input').style.display = 'none'; + + function run_command_bh() { + try { + ys.run(cmd); + } catch (e) { + ys.write('Caught JavaScript exception. (see JavaScript console for details.)'); + console.log(e); + } + print_output(ys.print_buffer); + + document.getElementById('wait').style.display = 'none'; + document.getElementById('input').style.display = 'block'; + document.getElementById('prompt').textContent = ys.prompt(); + + var show_dot_after = ""; + try { show_dot_after = ys.read_file('show.dot'); } catch (e) { } + + if (show_dot_before != show_dot_after) + open_file('show.dot'); + + update_tabs(); + } + + window.setTimeout(run_command_bh, 50); + return false; + } + </script> +</body></html> diff --git a/misc/yosysjs/demo02.html b/misc/yosysjs/demo02.html new file mode 100644 index 000000000..d36e223c9 --- /dev/null +++ b/misc/yosysjs/demo02.html @@ -0,0 +1,102 @@ +<html><head> + <title>YosysJS Example Application #02</title> + <script type="text/javascript" src="yosysjs.js"></script> +</head><body> + <div id="popup" style="position: fixed; left: 0; top: 0; width:100%; height:100%; text-align:center; z-index: 1000;"><div + style="width:300px; margin: 200px auto; background-color: #88f; border:3px dashed #000; + padding:15px; text-align:center;"><span id="popupmsg">Loading...</span></div> + </div> + <h1>YosysJS Example Application #02</h1> + <iframe id="ys" style="width: 800px; height: 100px;"></iframe><p/> + <textarea id="code" style="width: 800px; height: 300px;"> +// borrowed with some modifications from +// http://www.ee.ed.ac.uk/~gerard/Teach/Verilog/manual/Example/lrgeEx2/cooley.html +module up3down5(clock, data_in, up, down, carry_out, borrow_out, count_out, parity_out); + +input [8:0] data_in; +input clock, up, down; + +output reg [8:0] count_out; +output reg carry_out, borrow_out, parity_out; + +reg [9:0] cnt_up, cnt_dn; +reg [8:0] count_nxt; + +always @(posedge clock) +begin + cnt_dn = count_out - 3'b 101; + cnt_up = count_out + 2'b 11; + + case ({up,down}) + 2'b 00 : count_nxt = data_in; + 2'b 01 : count_nxt = cnt_dn; + 2'b 10 : count_nxt = cnt_up; + 2'b 11 : count_nxt = count_out; + default : count_nxt = 9'bX; + endcase + + parity_out <= ^count_nxt; + carry_out <= up & cnt_up[9]; + borrow_out <= down & cnt_dn[9]; + count_out <= count_nxt; +end + +endmodule + </textarea><p/> + <input type="button" value="Before Behavioral Synth" onclick="synth1()"> + <input type="button" value="After Behavioral Synth" onclick="synth2()"> + <input type="button" value="After RTL Synth" onclick="synth3()"> + <input type="button" value="After Gate-Level Synth" onclick="synth4()"><p/> + <svg id="svg" width="800"></svg> + </td></tr></table> + <script type="text/javascript"> + YosysJS.load_viz(); + function on_ys_ready() { + document.getElementById('popup').style.visibility = 'hidden'; + document.getElementById('popupmsg').textContent = 'Please wait..'; + } + function synth1() { + function work() { + ys.write_file("input.v", document.getElementById('code').value); + ys.run('design -reset; read_verilog input.v; show -stretch'); + YosysJS.dot_into_svg(ys.read_file('show.dot'), 'svg'); + document.getElementById('popup').style.visibility = 'hidden'; + } + document.getElementById('popup').style.visibility = 'visible'; + window.setTimeout(work, 100); + } + function synth2() { + function work() { + ys.write_file("input.v", document.getElementById('code').value); + ys.run('design -reset; read_verilog input.v; proc; opt_clean; show -stretch'); + YosysJS.dot_into_svg(ys.read_file('show.dot'), 'svg'); + document.getElementById('popup').style.visibility = 'hidden'; + } + document.getElementById('popup').style.visibility = 'visible'; + window.setTimeout(work, 100); + } + function synth3() { + function work() { + ys.write_file("input.v", document.getElementById('code').value); + ys.run('design -reset; read_verilog input.v; synth -run coarse; show -stretch'); + YosysJS.dot_into_svg(ys.read_file('show.dot'), 'svg'); + document.getElementById('popup').style.visibility = 'hidden'; + } + document.getElementById('popup').style.visibility = 'visible'; + window.setTimeout(work, 100); + } + function synth4() { + function work() { + ys.write_file("input.v", document.getElementById('code').value); + ys.run('design -reset; read_verilog input.v; synth -run coarse; synth -run fine; show -stretch'); + YosysJS.dot_into_svg(ys.read_file('show.dot'), 'svg'); + document.getElementById('popup').style.visibility = 'hidden'; + } + document.getElementById('popup').style.visibility = 'visible'; + window.setTimeout(work, 100); + } + var ys = YosysJS.create('ys', on_ys_ready); + ys.verbose = true; + ys.echo = true; + </script> +</body></html> diff --git a/misc/yosysjs/demo03.html b/misc/yosysjs/demo03.html new file mode 100644 index 000000000..3dc465cbf --- /dev/null +++ b/misc/yosysjs/demo03.html @@ -0,0 +1,103 @@ +<html><head> +<title>YosysJS Example Application #02</title> +<script type="text/javascript" src="yosysjs.js"></script> +<script src="http://wavedrom.com/skins/default.js" type="text/javascript"></script> +<script src="http://wavedrom.com/WaveDrom.js" type="text/javascript"></script> +<style type="text/css"> +.noedit { color: #666; } +</style> +<script id="golden_verilog" type="text/plain"> +module ref(input clk, reset, input [7:0] A, output reg [7:0] Y); + always @(posedge clk) begin + if (reset) + Y <= 0; + else + Y <= ((Y << 5) + Y) ^ A; + end +endmodule +</script> +</head><body> + <div id="popup" style="position: fixed; left: 0; top: 0; width:100%; height:100%; text-align:center; z-index: 1000; + background-color: rgba(100, 100, 100, 0.5);"><div style="width:300px; margin: 200px auto; background-color: #88f; + border:3px dashed #000; padding:15px; text-align:center;"><span id="popupmsg">Loading...</span></div> + </div> + <h1>YosysJS Example Application #03</h1> + <b>Your mission:</b> Create a behavioral Verilog model for the following circuit: + <p/> + <div id="main" style="visibility: hidden"> + <svg id="schem" width="800"></svg> + <p/> + <pre id="code" style="width: 800px; border:2px solid #000; padding: 0.5em;"><span class="noedit">module top(input clk, reset, input [7:0] A, output reg [7:0] Y); + always @(posedge clock) begin</span><span class="edit" contenteditable="true"> + Y <= A | {4{reset}}; + </span><span class="noedit">end +endmodule</span></pre><p/> + <input type="button" value="Check Model" onclick="check_model()"> <span id="checkmessage"></span> + <p/> + <p id="wave"> </p> + </div> + <script type="text/javascript"> + function on_ys_ready() { + ys.write_file('golden.v', document.getElementById('golden_verilog').textContent); + ys.run('echo on; read_verilog golden.v; proc;;'); + ys.run('show -notitle -width -stretch'); + YosysJS.dot_into_svg(ys.read_file('show.dot'), 'schem'); + document.getElementById('popup').style.visibility = 'hidden'; + document.getElementById('popupmsg').textContent = 'Please wait..'; + document.getElementById('main').style.visibility = 'visible'; + } + function check_model() { + function work() { + ys.remove_file('wave.json'); + ys.write_file('code.v', document.getElementById('code').textContent); + ys.errmsg = ''; + ys.run('design -reset; read_verilog code.v; hierarchy -top top; proc; opt; flatten; hierarchy; ' + + 'read_verilog golden.v; proc; miter -equiv -ignore_gold_x -make_outputs -flatten ref top miter; ' + + 'hierarchy -top miter; clean -purge; sat -set-init-undef -seq 8 -dump_json wave.json -show-ports ' + + '-max_undef -prove trigger 0 miter'); + w = document.getElementById('wave') + if (ys.errmsg) { + w.innerHTML = '<b><pre>ERROR: ' + ys.errmsg.replace('&', '&').replace('<', '<').replace('>', '>') + '</pre></b>'; + } else { + wdata = ys.read_file('wave.json'); + if (wdata) { + wdata = JSON.parse(wdata); + function wsignal(signame, newname) { + for (i = 0; i < wdata["signal"].length; i++) + if (wdata["signal"][i].name == signame) { + if (newname) + wdata["signal"][i].name = newname; + return wdata["signal"][i]; + } + return {}; + } + wdata2 = { + "signal" : [ + { name: 'clk', wave: 'P........' }, + wsignal("trigger"), + {}, + [ "Inputs", wsignal("in_reset", "reset"), wsignal("in_A", "A") ], + {}, + [ "Y Output", wsignal("gold_Y", "Ref"), wsignal("gate_Y", "UUT") ], + ], + "config" : wdata["config"] + }; + wdata2 = JSON.stringify(wdata2) + w.innerHTML = '<b>The model did not pass verification:</b><p/>' + + '<script type="WaveDrom">' + wdata2 + '<\/script>'; + WaveDrom.ProcessAll(); + } else { + w.innerHTML = '<b>Congratulations! The model did pass verification.</b><p/>'; + } + } + document.getElementById('popup').style.visibility = 'hidden'; + } + document.getElementById('popup').style.visibility = 'visible'; + window.setTimeout(work, 100); + } + + YosysJS.load_viz(); + var ys = YosysJS.create('', on_ys_ready); + ys.logprint = true; + </script> +</body></html> diff --git a/misc/yosysjs/yosysjs.js b/misc/yosysjs/yosysjs.js new file mode 100644 index 000000000..2c78451d2 --- /dev/null +++ b/misc/yosysjs/yosysjs.js @@ -0,0 +1,223 @@ +var YosysJS = new function() { + this.script_element = document.currentScript; + this.viz_element = undefined; + this.viz_ready = true; + + this.url_prefix = this.script_element.src.replace(/[^/]+$/, '') + + this.load_viz = function() { + if (this.viz_element) + return; + + this.viz_element = document.createElement('iframe') + this.viz_element.style.display = 'none' + document.body.appendChild(this.viz_element); + + this.viz_element.contentWindow.document.open(); + this.viz_element.contentWindow.document.write('<script type="text/javascript" onload="viz_ready = true;" src="' + this.url_prefix + 'viz.js"></' + 'script>'); + this.viz_element.contentWindow.document.close(); + + var that = this; + function check_viz_ready() { + if (that.viz_element.contentWindow.viz_ready) { + console.log("YosysJS: Successfully loaded Viz."); + that.viz_ready = true; + } else + window.setTimeout(check_viz_ready, 100); + } + + this.viz_ready = false; + window.setTimeout(check_viz_ready, 100); + } + + this.dot_to_svg = function(dot_text) { + return this.viz_element.contentWindow.Viz(dot_text, "svg"); + } + + this.dot_into_svg = function(dot_text, svg_element) { + if (typeof(svg_element) == 'string' && svg_element != "") + svg_element = document.getElementById(svg_element); + svg_element.innerHTML = this.dot_to_svg(dot_text); + c = svg_element.firstChild; + while (c) { + if (c.tagName == 'svg') { + while (c.firstChild) + svg_element.appendChild(c.firstChild); + svg_element.setAttribute('viewBox', c.getAttribute('viewBox')); + // svg_element.removeChild(c); + break; + } + c = c.nextSibling; + } + } + + this.create = function(reference_element, on_ready) { + var ys = new Object(); + ys.YosysJS = this; + ys.init_script = ""; + ys.ready = false; + ys.verbose = false; + ys.logprint = false; + ys.echo = false; + ys.errmsg = ""; + + if (typeof(reference_element) == 'string' && reference_element != "") + reference_element = document.getElementById(reference_element); + + if (reference_element) { + if (reference_element.tagName == 'textarea') + ys.init_script = reference_element.value; + + if (reference_element.tagName == 'iframe') { + ys.iframe_element = reference_element; + } else { + ys.iframe_element = document.createElement('iframe'); + ys.iframe_element.id = reference_element.id; + for (i in reference_element.style) + ys.iframe_element.style[i] = reference_element.style[i]; + reference_element.parentNode.insertBefore(ys.iframe_element, reference_element); + reference_element.parentNode.removeChild(reference_element); + } + } else { + ys.iframe_element = document.createElement('iframe'); + ys.iframe_element.style.display = 'none'; + document.body.appendChild(ys.iframe_element); + } + + ys.print_buffer = ""; + ys.last_line_empty = false; + ys.got_normal_log_message = false; + ys.window = ys.iframe_element.contentWindow; + + var doc = ys.window.document; + var mod = ys.window.Module = { + print: function(text) { + if (typeof(text) == 'number') + return; + ys.print_buffer += text + "\n"; + ys.got_normal_log_message = true; + if (ys.logprint) + console.log(text); + if (ys.verbose) { + ys.last_line_empty = text == ""; + if (text == "") { + span = doc.createElement('br'); + } else { + span = doc.createElement('span'); + span.textContent = text + "\n"; + span.style.fontFamily = 'monospace'; + span.style.whiteSpace = 'pre'; + } + doc.firstChild.appendChild(span); + if (doc.body) + ys.window.scrollTo(0, doc.body.scrollHeight); + else + ys.window.scrollBy(0, 100); + } + ys.ready = true; + }, + printErr: function(text) { + if (typeof(text) == 'number') + return; + if (ys.logprint) + console.log(text); + if (ys.got_normal_log_message) { + ys.print_buffer += text + "\n"; + ys.last_line_empty = text == ""; + if (text == "") { + span = doc.createElement('br'); + } else { + span = doc.createElement('span'); + span.textContent = text + "\n"; + span.style.fontFamily = 'monospace'; + span.style.whiteSpace = 'pre'; + span.style.color = 'red'; + } + doc.firstChild.appendChild(span); + if (doc.body) + ys.window.scrollTo(0, doc.body.scrollHeight); + else + ys.window.scrollBy(0, 100); + } else + if (!ys.logprint) + console.log(text); + }, + }; + + ys.write = function(text) { + ys.print_buffer += text + "\n"; + ys.last_line_empty = text == ""; + span = doc.createElement('span'); + span.textContent = text + "\n"; + span.style.fontFamily = 'monospace'; + span.style.whiteSpace = 'pre'; + doc.firstChild.appendChild(span); + if (doc.body) + ys.window.scrollTo(0, doc.body.scrollHeight); + else + ys.window.scrollBy(0, 100); + } + + ys.prompt = function() { + return mod.ccall('prompt', 'string', [], []) + } + + ys.run = function(cmd) { + ys.print_buffer = ""; + if (ys.echo) { + if (!ys.last_line_empty) + ys.write(""); + ys.write(ys.prompt() + cmd); + } + try { + mod.ccall('run', '', ['string'], [cmd]); + } catch (e) { + ys.errmsg = mod.ccall('errmsg', 'string', [], []);; + } + return ys.print_buffer; + } + + ys.read_file = function(filename) { + try { + return ys.window.FS.readFile(filename, {encoding: 'utf8'}); + } catch (e) { + return ""; + } + } + + ys.write_file = function(filename, text) { + return ys.window.FS.writeFile(filename, text, {encoding: 'utf8'}); + } + + ys.read_dir = function(dirname) { + return ys.window.FS.readdir(dirname); + } + + ys.remove_file = function(filename) { + try { + ys.window.FS.unlink(filename); + } catch (e) { } + } + + doc.open(); + doc.write('<script type="text/javascript" src="' + this.url_prefix + 'yosys.js"></' + 'script>'); + doc.close(); + + if (on_ready || ys.init_script) { + function check_ready() { + if (ys.ready && ys.YosysJS.viz_ready) { + if (ys.init_script) { + ys.write_file("/script.ys", ys.init_script); + ys.run("script /script.ys"); + } + if (on_ready) + on_ready(ys); + } else + window.setTimeout(check_ready, 100); + } + window.setTimeout(check_ready, 100); + } + + return ys; + } +} |