aboutsummaryrefslogtreecommitdiffstats
path: root/manual/FILES_StateOfTheArt/forgen01.v
diff options
context:
space:
mode:
Diffstat (limited to 'manual/FILES_StateOfTheArt/forgen01.v')
-rw-r--r--manual/FILES_StateOfTheArt/forgen01.v20
1 files changed, 20 insertions, 0 deletions
diff --git a/manual/FILES_StateOfTheArt/forgen01.v b/manual/FILES_StateOfTheArt/forgen01.v
new file mode 100644
index 000000000..70ee7e667
--- /dev/null
+++ b/manual/FILES_StateOfTheArt/forgen01.v
@@ -0,0 +1,20 @@
+module uut_forgen01(a, y);
+
+input [4:0] a;
+output y;
+
+integer i, j;
+reg [31:0] lut;
+
+initial begin
+ for (i = 0; i < 32; i = i+1) begin
+ lut[i] = i > 1;
+ for (j = 2; j*j <= i; j = j+1)
+ if (i % j == 0)
+ lut[i] = 0;
+ end
+end
+
+assign y = lut[a];
+
+endmodule