aboutsummaryrefslogtreecommitdiffstats
path: root/manual/CHAPTER_StateOfTheArt/forgen01.v
diff options
context:
space:
mode:
Diffstat (limited to 'manual/CHAPTER_StateOfTheArt/forgen01.v')
-rw-r--r--manual/CHAPTER_StateOfTheArt/forgen01.v20
1 files changed, 0 insertions, 20 deletions
diff --git a/manual/CHAPTER_StateOfTheArt/forgen01.v b/manual/CHAPTER_StateOfTheArt/forgen01.v
deleted file mode 100644
index 70ee7e667..000000000
--- a/manual/CHAPTER_StateOfTheArt/forgen01.v
+++ /dev/null
@@ -1,20 +0,0 @@
-module uut_forgen01(a, y);
-
-input [4:0] a;
-output y;
-
-integer i, j;
-reg [31:0] lut;
-
-initial begin
- for (i = 0; i < 32; i = i+1) begin
- lut[i] = i > 1;
- for (j = 2; j*j <= i; j = j+1)
- if (i % j == 0)
- lut[i] = 0;
- end
-end
-
-assign y = lut[a];
-
-endmodule