aboutsummaryrefslogtreecommitdiffstats
path: root/examples/smtbmc/demo1.v
diff options
context:
space:
mode:
Diffstat (limited to 'examples/smtbmc/demo1.v')
-rw-r--r--examples/smtbmc/demo1.v2
1 files changed, 1 insertions, 1 deletions
diff --git a/examples/smtbmc/demo1.v b/examples/smtbmc/demo1.v
index 59e497825..2e628b7da 100644
--- a/examples/smtbmc/demo1.v
+++ b/examples/smtbmc/demo1.v
@@ -8,7 +8,7 @@ module demo1(input clk, input addtwo, output iseven);
cnt = (iseven ? cnt == 10 : cnt == 11) ? 0 : next_cnt;
assert property (cnt != 15);
- // initial expect ((iseven && addtwo) || cnt == 9);
+ // initial predict ((iseven && addtwo) || cnt == 9);
endmodule
module inc(input addtwo, output iseven, input [3:0] a, output [3:0] y);