aboutsummaryrefslogtreecommitdiffstats
path: root/CHANGELOG
diff options
context:
space:
mode:
Diffstat (limited to 'CHANGELOG')
-rw-r--r--CHANGELOG4
1 files changed, 3 insertions, 1 deletions
diff --git a/CHANGELOG b/CHANGELOG
index a908096e3..650a6aeaf 100644
--- a/CHANGELOG
+++ b/CHANGELOG
@@ -53,7 +53,9 @@ Yosys 0.9 .. Yosys 0.9-dev
- Added support for flip-flops with synchronous reset to synth_xilinx
- Added support for flip-flops with reset and enable to synth_xilinx
- Added "check -mapped"
- - Added checking of SystemVerilog always block types (always_comb, always_latch and always_ff)
+ - Added checking of SystemVerilog always block types (always_comb,
+ always_latch and always_ff)
+ - Added support for SystemVerilog wildcard port connections (.*)
- Added "xilinx_dffopt" pass
- Added "scratchpad" pass
- Added "abc9 -dff"