aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
-rw-r--r--tests/ice40/latches_tb.v2
-rw-r--r--tests/ice40/memory_tb.v2
2 files changed, 0 insertions, 4 deletions
diff --git a/tests/ice40/latches_tb.v b/tests/ice40/latches_tb.v
index 47ae8670c..b0585264b 100644
--- a/tests/ice40/latches_tb.v
+++ b/tests/ice40/latches_tb.v
@@ -10,8 +10,6 @@ module testbench;
#5 clk = 1;
#5 clk = 0;
end
-
- $display("OKAY");
end
diff --git a/tests/ice40/memory_tb.v b/tests/ice40/memory_tb.v
index 5905f3ddd..be69374eb 100644
--- a/tests/ice40/memory_tb.v
+++ b/tests/ice40/memory_tb.v
@@ -10,8 +10,6 @@ module testbench;
#5 clk = 1;
#5 clk = 0;
end
-
- $display("OKAY");
end