aboutsummaryrefslogtreecommitdiffstats
path: root/tests
diff options
context:
space:
mode:
authorEddie Hung <eddie@fpgeh.com>2019-04-12 12:21:48 -0700
committerEddie Hung <eddie@fpgeh.com>2019-04-12 12:21:48 -0700
commitf77da46a87b4c929548861c799a96564878b5a07 (patch)
treed4fbb25c6b59707ecb6645672c9f336e142da0c9 /tests
parent2217d59e299ce0cc15887d53308d7b7cb6400c52 (diff)
parentdb1a5ec6a2a437b296e7ba9de78afaf3b440327f (diff)
downloadyosys-f77da46a87b4c929548861c799a96564878b5a07.tar.gz
yosys-f77da46a87b4c929548861c799a96564878b5a07.tar.bz2
yosys-f77da46a87b4c929548861c799a96564878b5a07.zip
Merge remote-tracking branch 'origin/master' into xaig
Diffstat (limited to 'tests')
-rw-r--r--tests/various/muxcover.ys5
1 files changed, 3 insertions, 2 deletions
diff --git a/tests/various/muxcover.ys b/tests/various/muxcover.ys
index 7ac460f13..594e62af6 100644
--- a/tests/various/muxcover.ys
+++ b/tests/various/muxcover.ys
@@ -8,12 +8,13 @@ read_verilog -formal <<EOT
3'b?1?: Y = B;
3'b1??: Y = C;
3'b000: Y = D;
+ default: Y = 'bx;
endcase
endmodule
EOT
-## Examle usage for "pmuxtree" and "muxcover"
+## Example usage for "pmuxtree" and "muxcover"
proc
pmuxtree
@@ -35,7 +36,7 @@ read_verilog -formal <<EOT
3'b010: Y = B;
3'b100: Y = C;
3'b000: Y = D;
- default: Y = 'bx;
+ default: Y = 'bx;
endcase
endmodule
EOT