aboutsummaryrefslogtreecommitdiffstats
path: root/tests
diff options
context:
space:
mode:
authorEddie Hung <eddie@fpgeh.com>2019-08-09 10:08:17 -0700
committerEddie Hung <eddie@fpgeh.com>2019-08-09 10:08:17 -0700
commitd9c16644626d49b5bb5eb463f2a113e13ad22d69 (patch)
tree857a61f06ce7de8dbae47a07eea91753eb194ec0 /tests
parentac2fc3a144fe1094bedcc6b3fda8a498ad43ae76 (diff)
downloadyosys-d9c16644626d49b5bb5eb463f2a113e13ad22d69.tar.gz
yosys-d9c16644626d49b5bb5eb463f2a113e13ad22d69.tar.bz2
yosys-d9c16644626d49b5bb5eb463f2a113e13ad22d69.zip
Simplify opt_expr tests using equiv_opt
Diffstat (limited to 'tests')
-rw-r--r--tests/opt/opt_expr.ys95
1 files changed, 23 insertions, 72 deletions
diff --git a/tests/opt/opt_expr.ys b/tests/opt/opt_expr.ys
index 0c61ac881..9f3c0a1cd 100644
--- a/tests/opt/opt_expr.ys
+++ b/tests/opt/opt_expr.ys
@@ -6,24 +6,16 @@ endmodule
EOT
hierarchy -auto-top
-proc
-design -save gold
-opt_expr -fine
-wreduce
+equiv_opt -assert opt_expr -fine
+design -load postopt
+wreduce
select -assert-count 1 t:$add r:A_WIDTH=4 r:B_WIDTH=4 r:Y_WIDTH=5 %i %i %i
-design -stash gate
-
-design -import gold -as gold
-design -import gate -as gate
-
-miter -equiv -flatten -make_assert -make_outputs gold gate miter
-sat -verify -prove-asserts -show-ports miter
-
##########
+design -reset
read_verilog <<EOT
module opt_expr_add_signed_test(input signed [3:0] i, input signed [7:0] j, output signed [8:0] o);
assign o = (i << 4) + j;
@@ -31,24 +23,16 @@ endmodule
EOT
hierarchy -auto-top
-proc
-design -save gold
-opt_expr -fine
-wreduce
+equiv_opt -assert opt_expr -fine
+design -load postopt
+wreduce
select -assert-count 1 t:$add r:A_WIDTH=4 r:B_WIDTH=4 r:Y_WIDTH=5 %i %i %i
-design -stash gate
-
-design -import gold -as gold
-design -import gate -as gate
-
-miter -equiv -flatten -make_assert -make_outputs gold gate miter
-sat -verify -prove-asserts -show-ports miter
-
##########
+design -reset
read_verilog <<EOT
module opt_expr_sub_test1(input [3:0] i, input [7:0] j, output [8:0] o);
assign o = j - (i << 4);
@@ -56,24 +40,16 @@ endmodule
EOT
hierarchy -auto-top
-proc
-design -save gold
-opt_expr -fine
-wreduce
+equiv_opt -assert opt_expr -fine
+design -load postopt
+wreduce
select -assert-count 1 t:$sub r:A_WIDTH=4 r:B_WIDTH=4 r:Y_WIDTH=5 %i %i %i
-design -stash gate
-
-design -import gold -as gold
-design -import gate -as gate
-
-miter -equiv -flatten -make_assert -make_outputs gold gate miter
-sat -verify -prove-asserts -show-ports miter
-
##########
+design -reset
read_verilog <<EOT
module opt_expr_sub_signed_test1(input signed [3:0] i, input signed [7:0] j, output signed [8:0] o);
assign o = j - (i << 4);
@@ -81,24 +57,16 @@ endmodule
EOT
hierarchy -auto-top
-proc
-design -save gold
-opt_expr -fine
-wreduce
+equiv_opt -assert opt_expr -fine
+design -load postopt
+wreduce
select -assert-count 1 t:$sub r:A_WIDTH=4 r:B_WIDTH=4 r:Y_WIDTH=5 %i %i %i
-design -stash gate
-
-design -import gold -as gold
-design -import gate -as gate
-
-miter -equiv -flatten -make_assert -make_outputs gold gate miter
-sat -verify -prove-asserts -show-ports miter
-
##########
+design -reset
read_verilog <<EOT
module opt_expr_sub_test2(input [3:0] i, input [7:0] j, output [8:0] o);
assign o = (i << 4) - j;
@@ -106,24 +74,16 @@ endmodule
EOT
hierarchy -auto-top
-proc
-design -save gold
-opt_expr -fine
-wreduce
+equiv_opt -assert opt_expr -fine
+design -load postopt
+wreduce
select -assert-count 1 t:$sub r:A_WIDTH=8 r:B_WIDTH=8 r:Y_WIDTH=9 %i %i %i
-design -stash gate
-
-design -import gold -as gold
-design -import gate -as gate
-
-miter -equiv -flatten -make_assert -make_outputs gold gate miter
-sat -verify -prove-asserts -show-ports miter
-
##########
+design -reset
read_verilog <<EOT
module opt_expr_sub_test4(input [3:0] i, output [8:0] o);
assign o = 5'b00010 - i;
@@ -131,18 +91,9 @@ endmodule
EOT
hierarchy -auto-top
-proc
-design -save gold
-opt_expr -fine
-wreduce
+equiv_opt -assert opt_expr -fine
+design -load postopt
+wreduce
select -assert-count 1 t:$sub r:A_WIDTH=2 r:B_WIDTH=4 r:Y_WIDTH=5 %i %i %i
-
-design -stash gate
-
-design -import gold -as gold
-design -import gate -as gate
-
-miter -equiv -flatten -make_assert -make_outputs gold gate miter
-sat -verify -prove-asserts -show-ports miter