aboutsummaryrefslogtreecommitdiffstats
path: root/tests
diff options
context:
space:
mode:
authordiego <diego@symbioticeda.com>2020-06-10 10:35:40 -0500
committerdiego <diego@symbioticeda.com>2020-06-10 10:35:40 -0500
commitd68a8f9e2b20d9c4120a69e1a632a2d86250be86 (patch)
tree93fb19720d8d4d40902ce16c7bf4a9a8d2e81259 /tests
parent3c2a1171fff245eedee4c2cd3e12c554d20c505e (diff)
downloadyosys-d68a8f9e2b20d9c4120a69e1a632a2d86250be86.tar.gz
yosys-d68a8f9e2b20d9c4120a69e1a632a2d86250be86.tar.bz2
yosys-d68a8f9e2b20d9c4120a69e1a632a2d86250be86.zip
Removing trailing whitespace
Diffstat (limited to 'tests')
-rw-r--r--tests/various/dynamic_part_select.ys60
1 files changed, 30 insertions, 30 deletions
diff --git a/tests/various/dynamic_part_select.ys b/tests/various/dynamic_part_select.ys
index 7db0f62c0..2dc061e89 100644
--- a/tests/various/dynamic_part_select.ys
+++ b/tests/various/dynamic_part_select.ys
@@ -3,105 +3,105 @@ read_verilog ./dynamic_part_select/original.v
proc
rename -top gold
design -stash gold
-
+
read_verilog ./dynamic_part_select/original_gate.v
proc
rename -top gate
design -stash gate
-
+
design -copy-from gold -as gold gold
design -copy-from gate -as gate gate
-
+
miter -equiv -make_assert -make_outcmp -flatten gold gate equiv
sat -prove-asserts -seq 10 -show-public -verify -set-init-zero equiv
-
+
### Multiple blocking assingments ###
design -reset
read_verilog ./dynamic_part_select/multiple_blocking.v
proc
rename -top gold
design -stash gold
-
+
read_verilog ./dynamic_part_select/multiple_blocking_gate.v
proc
rename -top gate
design -stash gate
-
+
design -copy-from gold -as gold gold
design -copy-from gate -as gate gate
-
+
miter -equiv -make_assert -make_outcmp -flatten gold gate equiv
sat -prove-asserts -seq 10 -show-public -verify -set-init-zero equiv
-
+
### Non-blocking to the same output register ###
design -reset
read_verilog ./dynamic_part_select/nonblocking.v
proc
rename -top gold
design -stash gold
-
+
read_verilog ./dynamic_part_select/nonblocking_gate.v
proc
rename -top gate
design -stash gate
-
+
design -copy-from gold -as gold gold
design -copy-from gate -as gate gate
-
+
miter -equiv -make_assert -make_outcmp -flatten gold gate equiv
sat -prove-asserts -seq 10 -show-public -verify -set-init-zero equiv
-
+
### For-loop select, one dynamic input
design -reset
read_verilog ./dynamic_part_select/forloop_select.v
proc
rename -top gold
design -stash gold
-
+
read_verilog ./dynamic_part_select/forloop_select_gate.v
proc
rename -top gate
design -stash gate
-
+
design -copy-from gold -as gold gold
design -copy-from gate -as gate gate
-
+
miter -equiv -make_assert -make_outcmp -flatten gold gate equiv
sat -prove-asserts -seq 10 -show-public -verify -set-init-zero equiv
-
+
#### Double loop (part-select, reset) ###
design -reset
read_verilog ./dynamic_part_select/reset_test.v
proc
rename -top gold
design -stash gold
-
+
read_verilog ./dynamic_part_select/reset_test_gate.v
proc
rename -top gate
design -stash gate
-
+
design -copy-from gold -as gold gold
design -copy-from gate -as gate gate
-
+
miter -equiv -make_assert -make_outcmp -flatten gold gate equiv
sat -prove-asserts -seq 10 -show-public -verify -set-init-zero equiv
-
+
### Reversed part-select case ###
design -reset
read_verilog ./dynamic_part_select/reversed.v
proc
rename -top gold
design -stash gold
-
+
read_verilog ./dynamic_part_select/reversed_gate.v
proc
rename -top gate
design -stash gate
-
+
design -copy-from gold -as gold gold
design -copy-from gate -as gate gate
-
+
miter -equiv -make_assert -make_outcmp -flatten gold gate equiv
sat -prove-asserts -seq 10 -show-public -verify -set-init-zero equiv
@@ -120,8 +120,8 @@ design -stash gate
design -copy-from gold -as gold gold
design -copy-from gate -as gate gate
-
-miter -equiv -make_assert -make_outcmp -flatten gold gate equiv
+
+miter -equiv -make_assert -make_outcmp -flatten gold gate equiv
sat -prove-asserts -show-public -verify -set-init-zero equiv
###
@@ -139,8 +139,8 @@ design -stash gate
design -copy-from gold -as gold gold
design -copy-from gate -as gate gate
-
-miter -equiv -make_assert -make_outcmp -flatten gold gate equiv
+
+miter -equiv -make_assert -make_outcmp -flatten gold gate equiv
sat -prove-asserts -seq 10 -show-public -falsify -set-init-zero equiv
## Part select + latch, with no shift&mask
@@ -157,6 +157,6 @@ design -stash gate
design -copy-from gold -as gold gold
design -copy-from gate -as gate gate
-
-miter -equiv -make_assert -make_outcmp -flatten gold gate equiv
-sat -prove-asserts -seq 10 -show-public -verify -set-init-zero equiv
+
+miter -equiv -make_assert -make_outcmp -flatten gold gate equiv
+sat -prove-asserts -seq 10 -show-public -verify -set-init-zero equiv