aboutsummaryrefslogtreecommitdiffstats
path: root/tests
diff options
context:
space:
mode:
authorEddie Hung <eddie@fpgeh.com>2019-11-22 22:28:35 -0800
committerEddie Hung <eddie@fpgeh.com>2019-11-22 22:28:35 -0800
commitd223e11a72a6f38061ab08c332d9d3c22af5761c (patch)
tree445f972d9899cde8d9b4a455a1afd2edd3e9f8b7 /tests
parent2c5dfd802d8323709a96993df4e2745c47d2905b (diff)
parent5cd3d3db0aaa8642dad53f8fb629e3109cef5825 (diff)
downloadyosys-d223e11a72a6f38061ab08c332d9d3c22af5761c.tar.gz
yosys-d223e11a72a6f38061ab08c332d9d3c22af5761c.tar.bz2
yosys-d223e11a72a6f38061ab08c332d9d3c22af5761c.zip
Merge remote-tracking branch 'origin/eddie/submod_po' into xaig_dff
Diffstat (limited to 'tests')
-rw-r--r--tests/various/submod.ys3
1 files changed, 0 insertions, 3 deletions
diff --git a/tests/various/submod.ys b/tests/various/submod.ys
index a9d3fe672..7c6f555ac 100644
--- a/tests/various/submod.ys
+++ b/tests/various/submod.ys
@@ -15,7 +15,6 @@ proc
design -save gold
submod
-flatten
design -stash gate
design -import gold -as gold
@@ -42,8 +41,6 @@ proc
design -save gold
submod
-dump
-flatten
design -stash gate
design -import gold -as gold