aboutsummaryrefslogtreecommitdiffstats
path: root/tests
diff options
context:
space:
mode:
authorJeff Wang <jjj11x@gmail.com>2020-01-16 12:03:42 -0500
committerJeff Wang <jeffrey.wang@ll.mit.edu>2020-01-16 18:09:03 -0500
commitcaf35896da98225518f06ebcd57b010c958de652 (patch)
treed9d32691ee354f16e2616003400ab5eca1b0cfcb /tests
parentfebe7706a2013a834f1bcd1200a9ac9d997e79c4 (diff)
downloadyosys-caf35896da98225518f06ebcd57b010c958de652.tar.gz
yosys-caf35896da98225518f06ebcd57b010c958de652.tar.bz2
yosys-caf35896da98225518f06ebcd57b010c958de652.zip
enum in package test
Diffstat (limited to 'tests')
-rw-r--r--tests/svtypes/typedef_package.sv3
1 files changed, 3 insertions, 0 deletions
diff --git a/tests/svtypes/typedef_package.sv b/tests/svtypes/typedef_package.sv
index a1e16d4b1..b766f10cf 100644
--- a/tests/svtypes/typedef_package.sv
+++ b/tests/svtypes/typedef_package.sv
@@ -1,11 +1,14 @@
package pkg;
typedef logic [7:0] uint8_t;
+ typedef enum logic [7:0] {bb=8'hBB} enum8_t;
endpackage
module top;
(* keep *) (pkg::uint8_t) a = 8'hAA;
+ (* keep *) (pkg::enum8_t) b_enum = pkg::bb;
always @* assert(a == 8'hAA);
+ always @* assert(b_enum == 8'hBB);
endmodule