aboutsummaryrefslogtreecommitdiffstats
path: root/tests
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2013-11-02 21:13:01 +0100
committerClifford Wolf <clifford@clifford.at>2013-11-02 21:13:01 +0100
commitada80545faf6a0c0d871909f9e50e0f426b46ed8 (patch)
tree758f79c33cb0cfa3bfbc1212555fb9ba39d65853 /tests
parentf912e029de64b17316c2d285bf728151e6bd6de3 (diff)
downloadyosys-ada80545faf6a0c0d871909f9e50e0f426b46ed8.tar.gz
yosys-ada80545faf6a0c0d871909f9e50e0f426b46ed8.tar.bz2
yosys-ada80545faf6a0c0d871909f9e50e0f426b46ed8.zip
Behavior should be identical now to rev. 0b4a64ac6adbd6 (next: testing before constfold fixes)
Diffstat (limited to 'tests')
-rw-r--r--tests/simple/vloghammer.v12
1 files changed, 6 insertions, 6 deletions
diff --git a/tests/simple/vloghammer.v b/tests/simple/vloghammer.v
index c705bfa7f..d1f55fdb4 100644
--- a/tests/simple/vloghammer.v
+++ b/tests/simple/vloghammer.v
@@ -73,10 +73,10 @@ module test10(a, b, c, y);
assign y = ^(a ? b : c);
endmodule
-module test11(a, b, y);
- input signed [3:0] a;
- input signed [3:0] b;
- output signed [5:0] y;
- assign y = -(5'd27);
-endmodule
+// module test11(a, b, y);
+// input signed [3:0] a;
+// input signed [3:0] b;
+// output signed [5:0] y;
+// assign y = -(5'd27);
+// endmodule