aboutsummaryrefslogtreecommitdiffstats
path: root/tests
diff options
context:
space:
mode:
authorEddie Hung <eddie@fpgeh.com>2020-01-17 15:38:26 -0800
committerEddie Hung <eddie@fpgeh.com>2020-01-17 15:38:26 -0800
commitad6c49fff12e27d99c1fc15850857e5129dd76ee (patch)
tree001c7aac8cd03405ac82c22580d86a81227c2ad9 /tests
parent4985318263a8113563c9c62c60a9d4d6ee0a4f4e (diff)
downloadyosys-ad6c49fff12e27d99c1fc15850857e5129dd76ee.tar.gz
yosys-ad6c49fff12e27d99c1fc15850857e5129dd76ee.tar.bz2
yosys-ad6c49fff12e27d99c1fc15850857e5129dd76ee.zip
ice40_dsp: add test
Diffstat (limited to 'tests')
-rw-r--r--tests/arch/ice40/ice40_dsp.ys11
1 files changed, 11 insertions, 0 deletions
diff --git a/tests/arch/ice40/ice40_dsp.ys b/tests/arch/ice40/ice40_dsp.ys
new file mode 100644
index 000000000..250273859
--- /dev/null
+++ b/tests/arch/ice40/ice40_dsp.ys
@@ -0,0 +1,11 @@
+read_verilog <<EOT
+module top(input [15:0] a, b, output [31:0] o1, o2, o5);
+SB_MAC16 m1 (.A(a), .B(16'd1234), .O(o1));
+assign o2 = a * 16'd0;
+wire [31:0] o3, o4;
+SB_MAC16 m2 (.A(a), .B(b), .O(o3));
+assign o4 = a * b;
+SB_MAC16 m3 (.A(a), .B(b), .O(o5));
+endmodule
+EOT
+ice40_dsp