aboutsummaryrefslogtreecommitdiffstats
path: root/tests
diff options
context:
space:
mode:
authorEddie Hung <eddie@fpgeh.com>2019-06-24 21:52:53 -0700
committerEddie Hung <eddie@fpgeh.com>2019-06-24 21:52:53 -0700
commit9dca024a30e5f6cfb06e1abb584ce1320fb81f16 (patch)
treeec29453bdd3347c97b8285a9fd3880a906bde77d /tests
parentcec2292b0bac819568c3d982e544cbe0aff99cb8 (diff)
downloadyosys-9dca024a30e5f6cfb06e1abb584ce1320fb81f16.tar.gz
yosys-9dca024a30e5f6cfb06e1abb584ce1320fb81f16.tar.bz2
yosys-9dca024a30e5f6cfb06e1abb584ce1320fb81f16.zip
Add tests/various/abc9.{v,ys} with SCC test
Diffstat (limited to 'tests')
-rw-r--r--tests/various/abc9.v5
-rw-r--r--tests/various/abc9.ys14
2 files changed, 19 insertions, 0 deletions
diff --git a/tests/various/abc9.v b/tests/various/abc9.v
new file mode 100644
index 000000000..8271cd249
--- /dev/null
+++ b/tests/various/abc9.v
@@ -0,0 +1,5 @@
+module abc9_test027(output reg o);
+initial o = 1'b0;
+always @*
+ o <= ~o;
+endmodule
diff --git a/tests/various/abc9.ys b/tests/various/abc9.ys
new file mode 100644
index 000000000..922f7005d
--- /dev/null
+++ b/tests/various/abc9.ys
@@ -0,0 +1,14 @@
+read_verilog abc9.v
+proc
+design -save gold
+
+abc9 -lut 4
+check
+design -stash gate
+
+design -import gold -as gold
+design -import gate -as gate
+
+miter -equiv -flatten -make_assert -make_outputs gold gate miter
+sat -verify -prove-asserts -show-ports miter
+