aboutsummaryrefslogtreecommitdiffstats
path: root/tests
diff options
context:
space:
mode:
authorUdi Finkelstein <github@udifink.com>2020-09-17 00:55:17 +0300
committerUdi Finkelstein <github@udifink.com>2020-09-17 00:55:17 +0300
commit7ed0e23e19871e36bbfd332437125d50d3251f0d (patch)
tree725cc73236c86c04e6363256f63583d9283ccd30 /tests
parent6de7ba02e3cd88b5ff8adb0c7e480000c4f92052 (diff)
downloadyosys-7ed0e23e19871e36bbfd332437125d50d3251f0d.tar.gz
yosys-7ed0e23e19871e36bbfd332437125d50d3251f0d.tar.bz2
yosys-7ed0e23e19871e36bbfd332437125d50d3251f0d.zip
We can now handle array slices (e.g. $size(x[1]) etc. )
Diffstat (limited to 'tests')
-rw-r--r--tests/sat/sizebits.sv16
1 files changed, 14 insertions, 2 deletions
diff --git a/tests/sat/sizebits.sv b/tests/sat/sizebits.sv
index 408c6f5c8..87fa08f89 100644
--- a/tests/sat/sizebits.sv
+++ b/tests/sat/sizebits.sv
@@ -25,8 +25,8 @@ assert property ($size(z, 1) == 6);
assert property ($size(z, 2) == 8);
assert property ($size(z, 3) == 4);
// This is unsupported at the moment
-//assert property ($size(z[3], 1) == 8);
-//assert property ($size(z[3][3], 1) == 4);
+assert property ($size(z[3], 1) == 8);
+assert property ($size(z[3][3], 1) == 4);
//assert property ($size(z[3][3][3], 1) == 1);
// This should trigger an error if enabled (it does).
//assert property ($size(z, 4) == 4);
@@ -48,6 +48,9 @@ assert property ($high(z) == 7);
assert property ($high(z, 1) == 7);
assert property ($high(z, 2) == 9);
assert property ($high(z, 3) == 3);
+assert property ($high(z[3]) == 9);
+assert property ($high(z[3][3]) == 3);
+assert property ($high(z[3], 2) == 3);
assert property ($low(x) == 2);
assert property ($low(y) == 2);
@@ -58,6 +61,9 @@ assert property ($low(z) == 2);
assert property ($low(z, 1) == 2);
assert property ($low(z, 2) == 2);
assert property ($low(z, 3) == 0);
+assert property ($low(z[3]) == 2);
+assert property ($low(z[3][3]) == 0);
+assert property ($low(z[3], 2) == 0);
assert property ($left(x) == 5);
assert property ($left(y) == 2);
@@ -68,6 +74,9 @@ assert property ($left(z) == 7);
assert property ($left(z, 1) == 7);
assert property ($left(z, 2) == 2);
assert property ($left(z, 3) == 3);
+assert property ($left(z[3]) == 2);
+assert property ($left(z[3][3]) == 3);
+assert property ($left(z[3], 2) == 3);
assert property ($right(x) == 2);
assert property ($right(y) == 7);
@@ -78,4 +87,7 @@ assert property ($right(z) == 2);
assert property ($right(z, 1) == 2);
assert property ($right(z, 2) == 9);
assert property ($right(z, 3) == 0);
+assert property ($right(z[3]) == 9);
+assert property ($right(z[3][3]) == 0);
+assert property ($right(z[3], 2) == 0);
endmodule