aboutsummaryrefslogtreecommitdiffstats
path: root/tests
diff options
context:
space:
mode:
authorEddie Hung <eddie@fpgeh.com>2019-05-03 15:54:25 -0700
committerEddie Hung <eddie@fpgeh.com>2019-05-03 15:54:25 -0700
commit554c58715aa4f8f5ed9fb4293946ac420d3f67a2 (patch)
treef1ea430007920cf69b22b9e9e79b4d3337eb3662 /tests
parentbfb8b3018bbe2017ab35fbdc21813f69c56514bb (diff)
downloadyosys-554c58715aa4f8f5ed9fb4293946ac420d3f67a2.tar.gz
yosys-554c58715aa4f8f5ed9fb4293946ac420d3f67a2.tar.bz2
yosys-554c58715aa4f8f5ed9fb4293946ac420d3f67a2.zip
More testing
Diffstat (limited to 'tests')
-rw-r--r--tests/various/specify.v2
-rw-r--r--tests/various/specify.ys5
2 files changed, 5 insertions, 2 deletions
diff --git a/tests/various/specify.v b/tests/various/specify.v
index 68d3e33fc..afc421da8 100644
--- a/tests/various/specify.v
+++ b/tests/various/specify.v
@@ -24,5 +24,7 @@ module test2 (
`define T_rise 1
`define T_fall 2
(A => Q) = (`T_rise,`T_fall);
+ //(B => Q) = (`T_rise+`T_fall)/2.0;
+ (B => Q) = 1.5;
endspecify
endmodule
diff --git a/tests/various/specify.ys b/tests/various/specify.ys
index c4e901705..87d98e0a0 100644
--- a/tests/various/specify.ys
+++ b/tests/various/specify.ys
@@ -5,7 +5,7 @@ select t:$specify2 -assert-count 0
select t:$specify3 -assert-count 1
select t:$specrule -assert-count 2
cd test2
-select t:$specify2 -assert-count 1
+select t:$specify2 -assert-count 2
select t:$specify3 -assert-count 0
select t:$specrule -assert-count 0
write_verilog specify.out
@@ -17,9 +17,10 @@ select t:$specify2 -assert-count 0
select t:$specify3 -assert-count 1
select t:$specrule -assert-count 2
cd test2
-select t:$specify2 -assert-count 1
+select t:$specify2 -assert-count 2
select t:$specify3 -assert-count 0
select t:$specrule -assert-count 0
design -stash gate
# TODO: How to check $specify and $specrule-s are equivalent?
+# Otherwise, need more select statements to check parameter values are as expected?