aboutsummaryrefslogtreecommitdiffstats
path: root/tests
diff options
context:
space:
mode:
authorEddie Hung <eddie@fpgeh.com>2020-01-06 16:22:22 -0800
committerEddie Hung <eddie@fpgeh.com>2020-01-06 16:22:22 -0800
commit3df869cc7cb6bd0afc2850bdcd5ce0409a36d53c (patch)
tree6cef1de26058ea1362b44a2b1627deb07cf8dbed /tests
parent53aa51dc923467bf7aed46e646640e7cee7b009d (diff)
downloadyosys-3df869cc7cb6bd0afc2850bdcd5ce0409a36d53c.tar.gz
yosys-3df869cc7cb6bd0afc2850bdcd5ce0409a36d53c.tar.bz2
yosys-3df869cc7cb6bd0afc2850bdcd5ce0409a36d53c.zip
Add testcase from #1459
Diffstat (limited to 'tests')
-rw-r--r--tests/arch/ecp5/bug1459.ys25
1 files changed, 25 insertions, 0 deletions
diff --git a/tests/arch/ecp5/bug1459.ys b/tests/arch/ecp5/bug1459.ys
new file mode 100644
index 000000000..1142ae0b5
--- /dev/null
+++ b/tests/arch/ecp5/bug1459.ys
@@ -0,0 +1,25 @@
+read_verilog <<EOT
+module register_file(
+ input wire clk,
+ input wire write_enable,
+ input wire [63:0] write_data,
+ input wire [4:0] write_reg,
+ input wire [4:0] read1_reg,
+ output reg [63:0] read1_data,
+ );
+
+ reg [63:0] registers[0:31];
+
+ always @(posedge clk) begin
+ if (write_enable == 1'b1) begin
+ registers[write_reg] <= write_data;
+ end
+ end
+
+ always @(all) begin
+ read1_data <= registers[read1_reg];
+ end
+endmodule
+EOT
+
+synth_ecp5 -abc9