aboutsummaryrefslogtreecommitdiffstats
path: root/tests
diff options
context:
space:
mode:
authorEddie Hung <eddie@fpgeh.com>2019-06-12 15:43:43 -0700
committerEddie Hung <eddie@fpgeh.com>2019-06-12 15:43:43 -0700
commit2e7b3eee400a4d845398be8e15ca023672f05270 (patch)
tree7211e8140ecb665ccbaceed27dac1a620a0b8651 /tests
parent8bb67fa67cfeb90a236b9ad6705c42e052a09448 (diff)
downloadyosys-2e7b3eee400a4d845398be8e15ca023672f05270.tar.gz
yosys-2e7b3eee400a4d845398be8e15ca023672f05270.tar.bz2
yosys-2e7b3eee400a4d845398be8e15ca023672f05270.zip
Add a couple more tests
Diffstat (limited to 'tests')
-rw-r--r--tests/simple_abc9/abc9.v12
1 files changed, 12 insertions, 0 deletions
diff --git a/tests/simple_abc9/abc9.v b/tests/simple_abc9/abc9.v
index 2752ff8cc..0b83c34a3 100644
--- a/tests/simple_abc9/abc9.v
+++ b/tests/simple_abc9/abc9.v
@@ -250,3 +250,15 @@ module abc9_test023 #(
wire [2*M-1:0] mask = {M{1'b1}};
assign dout = (mask << din[N-1:0]) >> M;
endmodule
+
+module abc9_test024(input [3:0] i, output [3:0] o);
+abc9_test024_sub a(i[1:0], o[1:0]);
+endmodule
+
+module abc9_test024_sub(input [1:0] i, output [1:0] o);
+assign o = i;
+endmodule
+
+module abc9_test025(input [3:0] i, output [3:0] o);
+abc9_test024_sub a(i[2:1], o[2:1]);
+endmodule