aboutsummaryrefslogtreecommitdiffstats
path: root/tests
diff options
context:
space:
mode:
authorEddie Hung <eddie@fpgeh.com>2019-05-29 15:26:33 -0700
committerEddie Hung <eddie@fpgeh.com>2019-05-29 15:26:33 -0700
commit25befbf5425458cf8cc5ee89635ad7e5f42d5778 (patch)
tree7a1009de9803b7022823cb08d7d58460aa1ad0d7 /tests
parentaa2380c17a7c97d4c3835cd6d78310cf4961c4f8 (diff)
downloadyosys-25befbf5425458cf8cc5ee89635ad7e5f42d5778.tar.gz
yosys-25befbf5425458cf8cc5ee89635ad7e5f42d5778.tar.bz2
yosys-25befbf5425458cf8cc5ee89635ad7e5f42d5778.zip
Rename to #23
Diffstat (limited to 'tests')
-rw-r--r--tests/simple_abc9/abc9.v6
1 files changed, 3 insertions, 3 deletions
diff --git a/tests/simple_abc9/abc9.v b/tests/simple_abc9/abc9.v
index 7af2ace01..2752ff8cc 100644
--- a/tests/simple_abc9/abc9.v
+++ b/tests/simple_abc9/abc9.v
@@ -237,10 +237,10 @@ module abc9_test022
endmodule
// Citation: https://github.com/riscv/riscv-bitmanip
-// TODO: yosys -p "synth_xilinx -abc9 -top abc9_test024" abc9.v -q
+// TODO: yosys -p "synth_xilinx -abc9 -top abc9_test023" abc9.v -q
// returns before 14233843
-// Warning: Wire abc9_test024.\dout [1] is used but has no driver.
-module abc9_test024 #(
+// Warning: Wire abc9_test023.\dout [1] is used but has no driver.
+module abc9_test023 #(
parameter integer N = 2,
parameter integer M = 2
) (