aboutsummaryrefslogtreecommitdiffstats
path: root/tests
diff options
context:
space:
mode:
authorEddie Hung <eddie@fpgeh.com>2019-04-15 21:56:45 -0700
committerEddie Hung <eddie@fpgeh.com>2019-04-15 21:56:45 -0700
commit0391499e46cd69cf809fe911fa7798b1ae994540 (patch)
tree32708f4d4386049a668e9eb4e88be5b4d53bed03 /tests
parentfecafb2207efc772fec49b357bc6e20ca6a25aca (diff)
parentdca45c0888c44857038bd65b6f51f6d9f67b169f (diff)
downloadyosys-0391499e46cd69cf809fe911fa7798b1ae994540.tar.gz
yosys-0391499e46cd69cf809fe911fa7798b1ae994540.tar.bz2
yosys-0391499e46cd69cf809fe911fa7798b1ae994540.zip
Merge remote-tracking branch 'origin/master' into xaig
Diffstat (limited to 'tests')
-rw-r--r--tests/various/muxcover.ys5
1 files changed, 2 insertions, 3 deletions
diff --git a/tests/various/muxcover.ys b/tests/various/muxcover.ys
index 594e62af6..7ac460f13 100644
--- a/tests/various/muxcover.ys
+++ b/tests/various/muxcover.ys
@@ -8,13 +8,12 @@ read_verilog -formal <<EOT
3'b?1?: Y = B;
3'b1??: Y = C;
3'b000: Y = D;
- default: Y = 'bx;
endcase
endmodule
EOT
-## Example usage for "pmuxtree" and "muxcover"
+## Examle usage for "pmuxtree" and "muxcover"
proc
pmuxtree
@@ -36,7 +35,7 @@ read_verilog -formal <<EOT
3'b010: Y = B;
3'b100: Y = C;
3'b000: Y = D;
- default: Y = 'bx;
+ default: Y = 'bx;
endcase
endmodule
EOT